Страница 1 из 2 12 ПоследняяПоследняя
Показано с 1 по 15 из 20
  1. #1
    Standart Power
    Регистрация
    29.01.2003
    Адрес
    Уссурийск
    Возраст
    58
    Сообщений
    135
    Поблагодарили
    24
    Поблагодарил
    34

    Как из 5 MHz получить 1 МHz ?

    Кто знает не сложный способ получения чистого меандра 1 мГц, из тактовой частоты 5Мгц, только с помощью цифровых микросхем?


    P.S.
    Аналоговое умножение на 2 и деление на 10 прошу не рассматривать.


  2. #2
    Silent Key
    Регистрация
    01.03.2006
    Адрес
    LO97wr SV-60
    Возраст
    73
    Сообщений
    7,788
    Поблагодарили
    10422
    Поблагодарил
    2453
    тогда нужно на 5 разделить

  3. #3
    Standart Power
    Регистрация
    06.09.2002
    Адрес
    Екатеринбург
    Возраст
    60
    Сообщений
    246
    Поблагодарили
    7
    Поблагодарил
    49
    Если делить на 5, то меандр не получится. А почему не из 10МГц?

  4. #4
    Без позывного Аватар для CO2040
    Регистрация
    08.05.2002
    Адрес
    Москва
    Возраст
    43
    Сообщений
    904
    Поблагодарили
    3
    Поблагодарил
    2
    К сожалению, чисто цифровыми делителями при делении на 5 меандра не получить. Я бы сделал так : поделил бы на 5, используя, например, счетчик 74AC161, соответствующем образом закоммутированный. А из выходного прямоугольного сигнала элементарно простейшим двухконтурным фильтром на стандартных дроссельках выделил бы 2-ю гармонику 2 МГц, после чего бы услилил и подал на делитель на 2 на 74AC74.

    Другой вариант (более компактный) и если не требуется ультра-характеристик по чистоте спектра : берется также делается делитель на 5 на 74AC161 + ФАПЧ на 74HC4046, с выхода RC-ГУНа которой снимаем меандр. Тут правда придется повозиться с расчетом петли PLL и выбором частотозадающих элементов ГУНа, но это компактнее чем в предыдущем варианте.

  5. #5
    Standart Power Аватар для RZ9CF
    Регистрация
    12.05.2005
    Адрес
    г.Первоуральск
    Возраст
    64
    Сообщений
    217
    Поблагодарили
    32
    Поблагодарил
    56

    Wink

    А еще можно удвоить 5МГц используя передний фронт и задний фронт импульса, получается удвоенная чатота импульсов , а затем уже поделить сначала на 5, а потом на 2 (получается чисто цифровой метод получения меандра 1МГц из 5МГЦ).

  6. #6
    Standart Power
    Регистрация
    29.01.2003
    Адрес
    Уссурийск
    Возраст
    58
    Сообщений
    135
    Поблагодарили
    24
    Поблагодарил
    34
    Цитата Сообщение от RZ9CF
    А еще можно удвоить 5МГц используя передний фронт и задний фронт импульса, получается удвоенная чатота импульсов , а затем уже поделить сначала на 5, а потом на 2 (получается чисто цифровой метод получения меандра 1МГц из 5МГЦ).

    было бы замечательно сделать именно так!
    какие типы микросхем работают на удвоение в этом варианте?

  7. #7
    Standart Power
    Регистрация
    12.04.2005
    Адрес
    (KO97VQ) Ярославль, Россия
    Возраст
    74
    Сообщений
    168
    Поблагодарили
    7
    Поблагодарил
    8
    Цитата Сообщение от RU0LL
    Кто знает не сложный способ получения чистого меандра 1 мГц, из тактовой частоты 5Мгц, только с помощью цифровых микросхем?


    P.S.
    Аналоговое умножение на 2 и деление на 10 прошу не рассматривать.
    Делаем из 5 МГц импульсный сигнал (если он аналоговый) Дальше, этот сигнал подаем на два входа схемы XOR, причем, один из сигналов (любой) надо на немного задержать, например с помощью схемы И или И-НЕ или ИЛИ, короче - любым элементм дающим задержку. На худой конец конденсатор повесить на вход. Задержка 10 - 50 нсек., в зависимости от серии. На выходе XOR получаем частоту 10 МГц (усредненную) за счет сложения (удвоения) фронтов. Делим любым счетчиком на 5, затем триггером на 2 (Счетчик ИЕ2, по-моему, если не забыл). На выходе мандр 1 МГц.

  8. #8
    Standart Power
    Регистрация
    06.09.2002
    Адрес
    Екатеринбург
    Возраст
    60
    Сообщений
    246
    Поблагодарили
    7
    Поблагодарил
    49
    555ЛП5 (по памяти пишу) 4 элемента XOR. 3 элемента можно использовать как задержку.

  9. #9
    Без позывного Аватар для CO2040
    Регистрация
    08.05.2002
    Адрес
    Москва
    Возраст
    43
    Сообщений
    904
    Поблагодарили
    3
    Поблагодарил
    2
    Цитата Сообщение от UA9CCI
    555ЛП5 (по памяти пишу) 4 элемента XOR. 3 элемента можно использовать как задержку.
    Только не нужно забывать, что подобные "умножители" на фронтах, использующие XOR и логические вентили как элементы задержки - решение вобщем-то непрофессиональное. Как показал опыт, такие схемы имеют отвратительную термостабильность и выше 1 МГц уже труднореализуемы. Про спектральную чистоту получаемого сигнала и ужасный джиттер на фронтах тоже не стоит забывать. И как ни крути - это тоже аналоговое решение, только гораздо хуже, чем фильтр на паре дроссельков.
    ------------------------------------

    Умножать же 5 МГц и превращая их предварительно в импульсы - не рационально, нужен дополнительный формирователь. Гораздо проще работать уже с полученными импульсами после делителя на 5. А на вход этого делителя, подтянутый к Vcc/2 подать синус. Умножать на 2 уже полученный 1МГц. Чисто технологически - это проще, потому как можно использовать бросовые индуктивности и нет конденсаторов малой емкости, сравнимой с динамическими емкостями логических входов и выходов. Ну а 2 МГц усилить и делителем на 2 превратить в меандр.

  10. #10
    Без позывного Аватар для CO2040
    Регистрация
    08.05.2002
    Адрес
    Москва
    Возраст
    43
    Сообщений
    904
    Поблагодарили
    3
    Поблагодарил
    2
    Кстати мало кто помнит про наипростейшее аналоговое решение : деление на регенеративном каскаде. Это между прочим используется для получения 1 МГц из 5МГц, которые дает "Гиацинт" или "Нарцисс" в приборах 1-0В (1-0М).

  11. #11
    Standart Power
    Регистрация
    06.09.2002
    Адрес
    Екатеринбург
    Возраст
    60
    Сообщений
    246
    Поблагодарили
    7
    Поблагодарил
    49
    CO2040
    Я не предлагал такой умножитель, а выдал наименование микросхемы. Так что не надо мне приписывать чужие мысли.

  12. #12
    Standart Power
    Регистрация
    12.04.2005
    Адрес
    (KO97VQ) Ярославль, Россия
    Возраст
    74
    Сообщений
    168
    Поблагодарили
    7
    Поблагодарил
    8
    to RU0LL
    Если тактовая последовательность - меандр, то все прекрасно. выход 1 МГц будет так же меандр. Если нет, то тогда все значительно усложняется (если условие меандра неприкосновенно )

    Цитата Сообщение от CO2040
    Только не нужно забывать, что подобные "умножители" на фронтах, использующие XOR и логические вентили как элементы задержки - решение вобщем-то непрофессиональное.
    Нет решений профессиональных или непрофессиональных. Есть решения, отвечаюшие поставленой задаче или нет
    ..........................................
    Как показал опыт, такие схемы имеют отвратительную термостабильность и выше 1 МГц уже труднореализуемы.
    Эти схемы реализуемы во всем диапазоне работы цыфровых схем
    Про спектральную чистоту
    получаемого сигнала и ужасный джиттер на фронтах тоже не стоит забывать. И как ни крути - это тоже аналоговое решение, только гораздо хуже, чем фильтр на паре дроссельков.
    ------------------------------------
    В чем аналоговость ????

    .............................................
    Гораздо проще работать уже с полученными импульсами после делителя на 5. А на вход этого делителя, подтянутый к Vcc/2 подать синус.
    .......................................
    Как раз преобразователи синуса в цифру являются основными источниками джиттера.

  13. #13
    Без позывного Аватар для CO2040
    Регистрация
    08.05.2002
    Адрес
    Москва
    Возраст
    43
    Сообщений
    904
    Поблагодарили
    3
    Поблагодарил
    2
    Цитата Сообщение от UA3MCH
    Как раз преобразователи синуса в цифру являются основными источниками джиттера.
    Все зависит от схемы преобразования. При использовании ненасыщенной логики и стабилизации амплитуды синуса проблем нет. В рассматриваемом случае источником джиттера будет дребезг входа логического элемента на умышленно затянутом фронте.

    Цитата Сообщение от UA3MCH
    В чем аналоговость ????
    В данном случае - использование характеристики логического элемента (задержки). А так же методах ее увеличения с помощью простейших интеграторов, затягивающих фронты.
    Отмечу еще раз, что задержка - характеристика "плавающая", поэтому привязку к ней делать не желательно. А в случае умножителя на XOR она должна лежать в определенном интервале, который расчитывается в зависимости от частоты и скважности входного сигнала. И чем выше частота - тем меньше по времени нужен этот интервал.
    Замечу, что схема на XOR пригодна для удвоения количества импульсов любого периодического сигнала с длительностью имульсов не более удвоенной задержки вентиля XOR. Только если на входе не меандр, то на выходе будем иметь уже непериодический сигнал.

  14. #14
    Без позывного
    Регистрация
    30.09.2003
    Возраст
    75
    Сообщений
    138
    Поблагодарили
    5
    Поблагодарил
    1
    Умножить на два можно подав импульсы через конденсатор на диодный мост, далее формирователь, например на инверторе переведенный в активный режим и делитель на десять.

  15. #15
    Standart Power
    Регистрация
    12.04.2005
    Адрес
    (KO97VQ) Ярославль, Россия
    Возраст
    74
    Сообщений
    168
    Поблагодарили
    7
    Поблагодарил
    8
    Цитата Сообщение от CO2040
    Все зависит от схемы преобразования. При использовании ненасыщенной логики и стабилизации амплитуды синуса проблем нет. В рассматриваемом случае источником джиттера будет дребезг входа логического элемента на умышленно затянутом фронте.
    В данном случае причиной джиттера будет не гуляние амплитуды синуса, а гуляние порога логического элемента. При работе с цифровыми уровнями гуляние этого порога не столь значительное,иначе всю цифровуху можно было бы выкинуть на помойку...

    ...............................
    В данном случае - использование характеристики логического элемента (задержки). А так же методах ее увеличения с помощью простейших интеграторов, затягивающих фронты.
    Отмечу еще раз, что задержка - характеристика "плавающая", поэтому привязку к ней делать не желательно. А в случае умножителя на XOR
    ....................................
    При таком "умножении" нестабильность задержки не играет роли, она не долна быть меньше времени срабатывания, скажем, счетчика.
    Точность работы определяется основным, не задержанным (задним), фронтом.

Похожие темы

  1. Как получить позывной ?
    от RL3FU в разделе Позывные сигналы
    Ответов: 102
    Последнее сообщение: 12.05.2013, 16:47
  2. Как получить SWL позывной ?
    от new-swl в разделе SWL
    Ответов: 26
    Последнее сообщение: 25.12.2010, 06:11
  3. Как получить с com-порта ±12 В ?
    от artem2004 в разделе Компьютеры и сети
    Ответов: 2
    Последнее сообщение: 19.10.2007, 11:59
  4. VX-2E. Как получить Pвых = 3 вт ?
    от RD4UA в разделе VX-2R
    Ответов: 7
    Последнее сообщение: 18.01.2007, 22:18
  5. Как получить swl-позывой ?
    от frost36 в разделе Позывные сигналы
    Ответов: 2
    Последнее сообщение: 22.02.2005, 21:06

Социальные закладки

Социальные закладки

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •  
Похоже, что вы используете блокировщик рекламы :(
Форум QRZ.RU существует только за счет рекламы, поэтому мы были бы Вам благодарны если Вы внесете сайт в список исключений!
как отключить
×
Рейтинг@Mail.ru
eXTReMe Tracker


Похоже, что вы используете блокировщик рекламы :(
Форум QRZ.RU существует только за счет рекламы, поэтому мы были бы Вам благодарны если Вы внесете сайт в список исключений!
как отключить
×